Cute Running Puppy

Language/[Java] 자바 완전 정복

2주차_04. 제어문과 제어 키워드

R.silver 2022. 1. 27. 16:36
반응형

제어문

프로그램의 처리 순서를 의도적으로 바꿀 때 사용하는 코드

if 문

조건식의 참 거짓을 판단하여 실행 여부를 결정

if (조건문) {
    실행구문;
}

else if (조건문) {
    실행구문; 
}

else {
    실행구문;
}

 

if (a>5)
	System.out.println("5 초과");
else 
	Systme.out.println("5 이하");

 

switch 문

변수의 값에 따라 실행문을 선택

switch (변수) {
    case 값1:
        실행문A;
        break;
  
    case 값2:
        실행문 B;
        break;

    default:
        실행문 C;

 

switch (a) {
    case 1: // a == 1 일 때
    	System.out.println("1");
        
    case 2: // a == 2 일 때
        System.out.println("2");
        
    default: // a의 값이 1도, 2도 아닐 때 
    	System.out.println("error");

 

for 문

조건식의 결과가 참일 동안 실행 구문을 반복적으로 수행

 

for (초기식; 조건식; 증감식) {
    실행구문;
}

 

for(i = 0; i < 3; i++) {
	System.out.println(i); // i < 3을 만족하는 동안 반복 실행
}

for 문으로 무한 루프 만드는 방법 

 

for (초기식;       ; 증감식) {
    실행구문;
}

 

조건식을 생략하면 항상 참이되기에 for 문이 무한 반복된다 

보통 break 키워드를 삽입하여 탈출 조건을 제시한다

 

for (i = 0;;i++) {
	if(i > 5)
    	break; // 탈출조건
    System.out.println (i);
}

 

while 문

조건식이 참일 동안 실행 구문 반복 실행 

 

while (조건식) {
    실행구문;
}

초기식과 증감식이 없기에 필요하다면 따로 작성해주어야 한다

초기식을 중괄호 안에 넣어 무한 루프에 빠지지 않도록 주의해야 한다 

 

//잘못 작성한 코드 
a = 0;
while (a < 10) {
	a = 0; // while 문이 실행되는 동안 반복적으로 초기화됨 -> 무한 루프
    System.out.println("a");
    a++;
}

 

do while 문

일단 실행한 후 조건을 판단

 

do {
    실행구문;
} while (조건식);

 

do {
	System.out.println("ok"); // 일단 실행 -> 이후 검사
} while (i < 10); //; 빼지 않도록 주의

 

제어 키워드

break

if문을 제외한 가장 가까운 중괄호를 탈출하는 제어 키워드 

 

continue

반복문 실행 중 특정 구문을 실행하지 않고 싶을 때 사용하는 제어 키워드 

반복문 실행 중 continue를 만나면 코드가 남아있더라도 닫힌 중괄호 ( '}' )를 만난 것처럼 행동

(반복문의 처음으로 돌아간다)

 

for (int i = 0; i < 10; i++) {
	if( i == 3)
    	continue; // i == 3 이면 아래 문장을 실행하지 않고 넘어감 
    System.out.println("i"); // 0, 1, 2, 4, 5, 6, 7, 8, 9 가 출력됨
}

 

 

반응형

'Language > [Java] 자바 완전 정복' 카테고리의 다른 글

2주차_06. 클래스와 객체  (0) 2022.01.27
2주차_05. 참조 자료형 2  (0) 2022.01.27
2주차_05. 참조 자료형 1  (0) 2022.01.27
1주차_03. 연산자  (0) 2022.01.20
1주차_02. 자료형  (0) 2022.01.20